欢迎您光临电机网,如有问题请及时联系我们。

中国的光刻机与刻蚀机达到世界先进水平,为什么有些人还说中国芯片业依旧前路艰辛?

作者:清驰电机网
文章来源:本站

  光刻机和蚀刻机的区别很大,光刻机就好比电影里面的男一号,蚀刻机就好比配角,差距还是很明显的,当然光刻机在芯片制造领域的重要性远超蚀刻机,难度也是蚀刻机远远无法比拟的,光刻机的作用是在处理好的硅片上面印上线路,蚀刻机的作用是把印在硅片上面光刻机画好的线进行刻画出来,也就是说把硅片上面除了光刻机印好的线路外的其他东西去除掉留下线路。

  芯片的精密度要求非常的高,都是以纳米级别的要求,世界上最先进的就是荷兰生产的万国牌光刻机,这个光刻机集合了世界上发达国家的最先进零配件,其中最重要的光源和镜片都是德国公司提供的,整个光刻机超过5万个零配件,荷兰自己提供的不到5%,剩下的都是从各国进口购买过来经过特殊的组装而成的,组装这个东西也是非常要技术的。

  日本最先进的光刻机只能做到14纳米级别,也没有办法突破7纳米,荷兰的这家公司量产7纳米级别的光刻机,已经成功研制出5纳米级别的光刻机,在光刻机领域现在的日本已经放弃了单独继续研发下去的心思,这也足以说明了光刻机的难度有多高,日本这样的工业强国都干不下去,大家都知道日本的精密机床和摄像头镜片这些都非常的出名,但是日本也在这上面吃瘪。

  我们最先进的光刻机是今年刚刚研发出来的22纳米级别的光刻机,但是离7纳米级别的距离还很远,中间还要有一个14纳米级别的砍要等着突破,这的确是一个非常巨大的挑战,想要短时间突破非常的困难,而且对方已经在5纳米级别的门口,短时间内我们肯定没办法赶上,只能一步一个脚印慢慢的摸索突破。

  毕竟我们是自己在搞,对方是一大群人合伙在分工搞,所以有差别是正常的也是可以接受和理解的,一个国家单挑一群国家,这种气魄已经足够让对方着急和担忧,如果那么容易让我们超越突破了,那那一群国家不就是太废了吗,所以光刻机难研发突破是正常的,不然他们也不会一大堆国家一群合伙在搞了。

  我们的工业体系非常的齐全,可以说是全世界工业体系最齐全的国家,我们并不是缺少某一个工业技术产业,我们缺少的是这些工业技术上面的尖端产业,也就是说我们总体可以,体量足够,但是在精这方面存在缺陷,如果能慢慢的把体量里面一些产业提高到质的变化,那我们离尖端科技的不远了。

  光刻机的难度在于光源和稳定性以及镜头的镜片和工作台,在光源上面我们已经和最尖端的相差无几,我们只是在镜头的镜片上面和移动工作台上还差一点,就是这样一点点的差别就对纳米级别精度来说就非常明显了,用德国研制生产光刻机镜片公司的科技人员的话来说,镜片的要求是放大到200平方公里,整体的平面误差不得超过10厘米,这样的平面精度要求难度可想而知,难怪连日本的索尼和佳能都放弃了。

  我们的光刻机只能算三流水平,第一流的是荷兰公司的7纳米级别和5纳米级别,这是独孤求败的级别,第二流是日本的14纳米级别的光刻机,属于次一品的级别,属于第二档次,第三就是我们的22纳米级别,当然跟最好的比还有很大差距,但是能够独立研制出这样的光刻机在世界上已经没有几个国家能够单独做到,这一点就值得我们骄傲,但是差距的确存在,想要突破其实并不容易,可以说困难重重。

  蚀刻机技术相对来说就简单上不少,世界上有能力生产出5纳米级别的蚀刻机的国家有好几个,日本就可以,欧洲和美国以及我们自己都有5纳米级别的蚀刻机,而光刻机只有一家,这就是难度的差别,蚀刻机的技术门槛要远远低于光刻机,这才形成了光刻机一家独大,蚀刻机群雄争霸的局面,正视差距埋头苦干才是王道,光吹牛是没用的。

  刻蚀机达到了世界先进水平,光刻机还没有,而且与世界先进水平至少还有十几二十年的差距。

  中国现在很多芯片虽说已经能够设计和研发,但是没有办法加工出来,因为没有高端光刻机,一般都是找台湾或三星代工。

  世界最先进的光刻机技术在荷兰asml公司手上,并且多年来几乎一直垄断了高端光刻机市场,美国,日本,韩国和我国台湾省的高端光刻机都是从这家公司买的。但这个公司之前一直由于某些国家或者国家联盟的施压对中国实施技术封锁还有禁运,有钱都不卖给你。

  中国只能靠自己研发,光刻机的研发难度对于之前一直空白的中国来说非常大,没有可借鉴的东西,只能从头开始一步一步来。而光刻机的更新换代的速度非常快,从手机的更新换代就能看出来,有时候中国研发出一代的时候,世界最先进水平也更新了一代。这样的话,中国在进步的同时,世界最先进水平也在进步,差距就难以缩小。

  不过近几年情况有了一些改观,随着中国的研发进度不断加快,asml公司对中国的技术封锁不再如过去那么严格。因为中国市场对他们来说还是非常有吸引力的,一旦中国研发进度赶上来的话,他们的光刻机在中国就卖不出去,赚不到钱了,趁着还有技术优势可以先高价卖给中国人,敲一笔钱。去年还是前年就有媒体报道过,中芯国际花了超过一亿美金从asml公司手中买了一台当时最先进的光刻机,那些钱是当时中芯国际一整年的全部利润。

  而且对中国放开技术管制,也可以让咱们对他们形成技术依赖,国内还是有不少人买办思想泛滥,认为“造不如买,买不如租,”。这种想法可以有,但不能成为主流,靠谁都不如靠自己。中国人吃过一次这样的亏就不会再上当了,买的同时必须同时进行研发,两头并进,这样一旦技术封锁也不会有太大影响。目前来说光刻机还任重道远,慢慢加油吧,总会赶上来的。

  这是我之前写的文章,希望可以帮到你。

  2022年11月29日,一则名为“宽刀雕细活 我国造出新式光刻机”的消息刷爆网络,援引自新闻中的消息,我们可以看到,由我国中科院光电技术研究所承担的国家重大科研装备研制项目“超分辨率装备研制”通过验收。很多人振奋的原因在于这是我国自行研制的世界首台利用紫外光源实现22nm分辨率的光刻机,这意味着我国在微电子技术领域再次迈出了坚实的一步,缩小了与全球在该领域的差距。在祝贺伟大祖国的同时,我们总结了一下目前关于这方面的学术研究,为大家浅析该技术让所有国人为之震动,举国欢庆的原因。

  何为光刻机?

  说道光刻机,就不得不说与我们生活息息相关的半导体芯片,在日常生活中,小到我们每天都要接触的手机、笔记本,大到导弹卫星、航天科技等高科技产品,其内都包含有大量的半导体芯片。不客气的说半导体芯片已经渗透到我们生活的各个领域,而半导体技术的发展也将极大程度上促进当今科学技术的进步与发展。但产品的特性决定了半导体技术必须向高度集成的方向发展,集大成者——光刻机应运而生。

  光刻机通又叫做掩模对准曝光机,曝光系统,光刻系统等,整个光刻过程我们可以理解为在硅片表面匀胶,然后将掩模版上的图形转移光刻胶上的过程将器件或电路结构临时“复制”到硅片上的过程。通俗理解光刻机就是极大规模机集成电路的制造设备,其结合了光学、控制、材料、机械、测量等多领域的高精尖科技成果。光刻机的发展水平对于整个半导体芯片的集成电路以及最终性能有着决定性的作用,不夸张的说谁掌握了最高端的光刻机技术,也就掌握了当代半导体制造业的领导地位。由此可见,光刻机作为其中含金量最高的部分组建,在国际上的地位之重以及制造难度之大。

  但先进的光刻机技术一直以来都掌握在极少数发达国家手中,且更新换代的速度非常快,几乎每两年就会有一批新的光刻机出现。这对于发展中国家研究光刻机技术而言无疑的灾难性的,由于技术封锁加上科技落后,就算致力于发展光刻机技术,往往花费数年研制出光刻机却已经比国外的光刻技术晚了两到三代的水平。这就会造成一个国家的整体科技领域发展要受制于人,困难重重。除了花费高昂的价格购入先进的半导体装备外别无它路。在我国,半导体芯片的需求量巨大,此次成功研制出超分辨率光刻机对于国内的半导体制造业而言意义重大,相信在未来必然会改变这种被动的局面。

  “弯道超车” 原理性的胜利

  从新闻中截取出的消息我们可以看到,此次中科院光电技术研究所研制的光刻机是在365nm波长的DUV光源下,单次曝光最高线宽分辨率达到22nm,相当于1/17波长。对于很多略懂科技的人员来说,我们都知道22nm的光刻技术其实在几年前就被使用,如果单拿22nm来说此次光电技术研究所的成就并不足够震撼,但如果与全球领先的荷兰ASML的尖端集成电路光刻机对比我们会发展,ASML使用的是采用EUV光刻机的13.5nm光源,加工极限为7nm,而我国的光刻机采用的是DUV下的365nm光源,加工出了22nm的分辨率,这意味这我国研制的光刻机打破了传统的衍射极限,采用一种新的原理理念验证了表面等离子光刻加工的可行性。接下来为大家粗略解释一下这两种原理的光刻技术。

  ASML光刻机光刻原理

  上图红框区域为ASML光刻机最主要的光刻过程,至于后续步骤中的检测设备、物镜反射等原理涉及到重复而又复杂的折射等光学原理,我们暂且不论。传统光刻机的工作原理大致可以理解为在硅片表面涂上一层抗深紫外光损伤的增光型保护涂层,之后利用模板去除保护涂层表面需要光刻的区域,这就像在硅片表面形成类似图纸一样的结构图。之后利用带有腐蚀剂的液体对硅片表面进行侵蚀,仍涂有保护层的区域并不会受到腐蚀剂的侵蚀,而失去保护膜的部分被腐蚀后则形成电路。最后去除掉硅片表面的所有杂质,一款硅片即光刻完成。

  而我们看到的物镜等系统作用就在于利用复杂的光学原理将模板进行“无限小”的缩像成印,而EUV光刻机利用13.5nm的光源光刻出7nm的芯片就在于此,但受限于衍射效应是有极限的,最终成像的分辨率等取决于波长、数值等因素,这也是目前EUV光刻机重点攻克的难点。同时,采用EUV光源的光刻机成本非常高,为攻克上述难点需要的成本同样高昂。

  国产超分辨率光刻机光刻原理

  国产超分辨率光刻机采用的则是一种名为表面等离子光刻加工工艺,利用的是一种沿金属表面传播的波,大致可以理解为当入射的光子照射在金属表面的时候。由于光子和金属表面的自由电子之间会相互作用,金属表面的自由电子受到入射光子后会激发出一种震荡状态,这种震荡状态的波将就叫做表面等离子体波,这种波会随着离开物质表面距离的增大迅速衰减。换为普通人理解即一道光打在金属表面会有类似球体落在地上的回弹反应,国产光刻的原理即为利用这道回弹衰减的波进行光刻,在原理上这就不在受到传统衍射极限的限制。

  光电研究所走的高分辨、大面积的技术路线采用365nmDUV紫外光的光刻机只需要几万元一只的汞灯即可,这也就意味着整机的成本价在百万元-千万元之间,成本不会太高,而性能则在DUV和EUV之间,这样的效益对于需求量大的半导体芯片制造业来说意义重大。此次中国中科院光电技术研究所承担的国家重大科研装备研制项目“超分辨率装备研制”没有沿用目前世界主流(例如ASML光刻机)的光刻技术,而是采用新的光刻原理,虽然现阶段该技术还无法应用于我们关心的高端芯片制造行业,但在该原理下,为我国赶超国际领先的光刻技术提供了极大的可能性,称其为在光刻技术这条道路上加速弯道超车一点都不为过。

  双向研究光刻技术 助力国产光刻机发展

  对于很多国人而言,光刻机最近的印象应该就是2022年4月中国向荷兰订购了世界上最先进的一套极紫外光刻(EUV)设备,这是目前最昂贵和最先进的芯片生产工具,单价达到1.2亿美元,目前已经交付给中国企业。在当初一度引发轰动,很多人认为多年来发展“中国芯”最大的技术难关得到的解决。 其实这主要源于我们在上世纪就已经开始发展光刻技术,虽然技术与顶尖技术总是相差几代,但差距并不大,在加上日前电子科技产品发展速度迅捷,技术壁垒下阻止国内光刻技术的发展远远达不到数十年之久,所以禁售在时间的推移下也就不攻自破。

  通过我们上述的技术解析,其实我们已经对此次国内研制的超高分辨率光刻机的成功验收有了一次初步的认识,不难看出,我国并没有因为国外先进光刻机的购入而将自主研发停滞不前,而是齐头并进,选择双向发展的道路。既购入国外采用先进光科技术的光刻机作为芯片研发的保证,也在不断深耕属于我们自己的全新的技术原理,打造属于国人自己的国产光刻机。两种截然不同的技术原理恰恰说明了这一点,虽然我们再很多领域都仍处于落后西方的状态下,但没有任何一个人说过放弃,一直都始终坚持着相关领域的摸索和发展,相信在不久的未来,我们不仅能够打造出属于我们自己的顶尖高科技芯片,而且能够将中国制造的光刻机作为国际领先标准远销海外。

  看了这个问题,感觉题主眼中的芯片制造和生产家电类似,有了世界先进水平的光刻机和刻蚀机,一按按钮,先进的芯片就生产出来了。实话实说,这是对芯片制造难度的误解。

  一枚先进半导体芯片的诞生,要经历四个阶段:设计、制造、检测和封装,每一个阶段出现短板,都会拉低芯片性能。这其中,芯片检测和封装技术难度最低,目前我国已经掌握。

  但在高性能先进芯片设计上,我国同样存在短板。看到这里,有人会问,我们不是有华为海思这样的先进芯片设计公司吗,麒麟980已经和高通骁龙平起平坐,开始追赶苹果A系列芯片了,还能说有短板?

  真的有。麒麟芯片中的CPU、GPU采用的是ARM的公版内核,也就是买的IP核授权,然后华为将它们和NPU、ISP、基带芯片等集成到一起,这就是麒麟系列芯片。可以看出,海思目前还不能做CPU、GPU内核的原创设计。

  海思尚且如此,其它实力逊色一筹的芯片设计公司就更不用说了。

  可以说,缺少CPU和GPU的内核原创设计,是影响我国高性能先进芯片的最大障碍。

  目前国内最先进的芯片制造厂是中芯国际,其最先进而且已经量产的制程工艺是14nm,12nm工艺制程的研发取得了突破,但与台积电的差距依然不小。

  台积电目前量产的制程工艺是7nm,已经运用到苹果A12、骁龙855、麒麟980等芯片上,明年将导入5nm制程工艺。

  中芯国际和台积电差着12nm、10nm、7nm、5nm,有着四代差距。这四代工艺制程差距,不是靠先进的设备可以一下弥补的。

  芯片的制造技术主要有3大类:组件技术、集成技术和批量生产技术。这三大类技术对应芯片制造的两个阶段:研发和正式量产。

  组件技术是指芯片制造工序的最小单位的工艺技术,包括成膜、光刻、蚀刻、抛光、离子注入、清洁等数十道工艺技术,而每一道工艺技术就称为一个组件技术。简单说就是,一个组件技术就是一道工序,这几十道工序必须无差错才能制造出合格的芯片,而光刻机和蚀刻机不过是负责数十道工序中的两道而已。

  将这几十道工序结合到一起,构建出一整套工艺流程的技术,叫芯片制造的集成技术。组件技术和集成技术研发成功后,会进入下一个阶段:批量生产技术。只有这三大技术完成,芯片制造工艺的研发才算大功告成。

  对芯片制造厂来说,先进设备只是关键的一环,不是全部,实际上,最关键的因素。人才的短缺,使得国产芯片制造厂即使得到先进制造设备,也难以制造出先进芯片。

  左一为梁孟松。梁孟松加入中芯国际后,创造298天让中芯国际研发成功14nm工艺制程的记录。

  说到人才,就不得不说中芯国际联席首席执行官梁孟松。梁孟松被业界称为芯片狂人,早年离开台积电加盟三星半导体后,让三星在制程工艺上实现了对台积电的反超。

  国产芯片制造厂除缺乏梁孟松这样的大牛外,也缺乏能蹲生产线的工程师。这个缺口在未来估计有40万人。

  说一千道一万,芯片制造是一个复杂的系统工程,需要每个环节都消除短板,不同于好莱坞电影中一两个超级英雄就能拯救世界,因此仅有先进的光刻机和蚀刻机是远远不够的。

  中国的刻蚀机的确是达到了世界先进水平,光刻机还早,而且就算是这两样都世界先进了,不代表中国芯片业的前路就不艰辛了。

  目前中国的刻蚀机的确领先,5纳米等离子体刻蚀机已经通过台积电验证;但是光刻机就差多了,之前新闻报道中提到的“中科院SP超分辨光刻机”其实最多只能算是一个“原型机”,和ASML的光刻机不能相提并论,也不能用来制造芯片,还需要攻克一系列的技术难题。

  退一步讲,就算是中国的光刻机与刻蚀机都达到世界领先就解决问题了么?ASML的EUV光刻机我们已经下单等待交货了,是不是到货以后中国就可以生产7nm甚至是5nm的芯片了?

  不要把问题想简单了,以为芯片也只有光刻机和刻蚀机。芯片制造的技术、经验、工艺以及人才是一个系统性的工程,台积电也不是一天建成的,有了光刻机也不代表我们就能造出最顶尖的芯片。

  再退一步讲,如果一流光刻机和刻蚀机都国产了,台积电也变成了中国企业,中国的芯片业就独步天下了么?当然不是,我们还需要高通、苹果、英伟达、英特尔、赛灵思、德州仪器这样的芯片设计、研发、制造和销售公司来打造整个芯片行业的产业链。

  芯片行业的产业链非常长,不是靠几台光刻机和刻蚀机就能解决问题了,上、中、下游都有众多厂商在其中竞争搏杀,从这个意义上来讲,中国的芯片行业并非全面落后,但前路的确依旧艰辛,需要我们继续努力!!

  中国蚀刻机已达到世界先进水平

  据媒体报道,2022年12月,中微半导体设备(上海)有限公司自主研制的5纳米等离子体刻蚀机经台积电验证,性能优良,将用于全球首条5纳米制程生产线。5纳米,相当于头发丝直径(约为0.1毫米)的二万分之一,将成为集成电路芯片上的最小线宽。台积电计划2022年进行5纳米制程试产,预计2022年量产。

  ▲半导体器件工艺制程从14纳米微缩到5纳,等离子蚀刻步骤会增加三倍

  刻蚀机是芯片制造的关键设备之一,曾一度是发达国家的出口管制产品。中微半导体联合创始人倪图强表示,中微与科林研发(Lam Research)、应用材料(Applied Materials)、东京威力科创(Tokyo Electron Limited)、日立全球先端科技 (Hitachi High-Technologies) 4家美日企业,组成了国际第一梯队,为7纳米芯片生产线供应刻蚀机。中微半导体如今通过台积电验证的5纳米刻蚀机,预计能获得比7纳米更大的市场份额。

  提问者所说的中国光刻机达到世界先进水平,应该是指2022年11月29日通过验收的,由中国科学院光电技术研究所主导、经过近七年艰苦攻关研制的“超分辨光刻装备”项目。

  该项目下研制的这台光刻机是“世界上首台分辨力最高的紫外(即22纳米@365纳米)超分辨光刻装备”。这是一种表面等离子体(surfaceplasma,SP)超分辨光刻装备。

  ▲中科院研制成功并通过验收的SP光刻机

  该光刻机在365纳米光源波长下,单次曝光最高线宽分辨力达到22纳米。结合双重曝光技术后,未来还可用于制造10nm级别的芯片。

  ▲中科院研发的光刻机镜头

  目前这个装备已制备出一系列纳米功能器件,包括大口径薄膜镜、超导纳米线单光子探测器、切伦科夫辐射器件、生化传感芯片、超表面成像器件等,也就是说,目前主要是一些光学等领域的器件。验证了该装备纳米功能器件加工能力,已达到实用化水平。

  ▲中科院SP光刻机加工的样品

  然而,此次验收合格的中科院光电技术研究所的这台表面等离子超衍射光刻机(SP光刻机)的加工精度与ASML的光刻机没法比。没法用于刻几十纳米级的芯片,至少以现在的技术不能。

  据光电所专家称,该所研制成功的这种SP光刻机用于芯片制造上还需要攻克一系列的技术难题,目前距离还很遥远。也就是说中科院研制的这种光刻机不能(像一些网媒说的)用来光刻CPU。它的意义是用便宜光源实现较高的分辨率,用于一些特殊制造场景,很经济。

  总之,中科院的22纳米分辨率光刻机跟ASML垄断的光刻机不是一回事,说前者弯道超车,就好像说中国出了个竞走名将要超越博尔特。

  显然,中科院研制成功的这台“超分辨光刻装备”并不能说明我国在市场主流的的光刻机研制方面已经达到了世界先进水平,那么现阶段我国的光刻机的真实水平又是怎样的呢?且看以下对比。

  上海微电子装备有限公司(SMEE)是目前国内唯一能做光刻机的企业。荷兰ASML公司是世界上唯一一个能够制造EUV光刻机的厂商,并且产量极低。其全部产能早在生产之前就被预订一空。

  EUV 作为现在最先进的光刻机,是唯一能够生产 7nm 以下制程的设备,因为它发射的光线波长仅为现有设备的十五分之一,能够蚀刻更加精细的半导体电路,所以 EUV 也被成为“突破摩尔定律的救星”。

  如今,业内已达成共识,更尖端的芯片制造工艺将小于5nm,并且必须使用EUV光刻机才能实现。摩根大通最新报告表示,ASML已经确认1.5nm制程的发展性,可支撑摩尔定律延续至2030年。

  ▲半导体制造业的发展史

  ▲摩尔定律(是半导体芯片微缩制程工艺的发展定律)

  ▲光刻工艺的发展趋势

  当前ASML用于7纳米制程芯片制造的最新型NXE:3400B EUV光刻机的报价是1.2亿美元一台,它家传统的ArF沉浸式光刻机(14nm节点)报价是7200万美元一台。相比之下,中国最好的光刻机厂商上海微电子已经量产的光刻机中,性能最好的SSA600/20工艺只能达到90nm,相当于2004年上市的奔腾四CPU的水准。而国外的先进水平已经达到了7纳米,正因如此,国内晶圆厂所需的高端光刻机完全依赖进口。

  ▲上海微电子的主要设备产品

  ▲上海微电子装备有限公司(SMEE)生产的600系列光刻机

  ▲上海微电子装备有限公司(SMEE)已经量产的光刻机中性能最好的SSA600/20光刻机

  ▲上海微电子(SMEE)与阿斯麦(ASML)签署战略合作备忘录

  2022年3月14日,上海微电子装备(集团)股份有限公司(SMEE)宣布,与世界领先的芯片制造设备的领先厂商阿斯麦 (ASML) 签署战略合作备忘录(MoU),为双方进一步的潜在合作奠定了基础。

  根据这项合作备忘ASML和SMEE将探索就ASML光刻系统的特定模块或半导体行业相关产品进行采购的可能性。

  据消息人士披露,上海微电子订了一批Bumotec机床。Bumotec是瑞士斯达拉格旗下的子品牌,能制造世界顶尖的加工光学仪器的机床,据说比ASML用的德国机床还好点——一般人耳熟能详的那些高端机床,和斯达拉格不是一个世界的。斯达拉格专攻高精尖制造领域的制造设备,所以知道这个公司的人非常少。但名气小不意味着技术就不顶尖。相信随着这批机床的到货,有助于上海微电子攻克65nm光刻机的技术难关。

  极紫外光刻(Extreme Ultriolet Lithography, EUVL)是一种采用波长13.5nm极紫外光为工作波长的投影光刻技术,是传统光刻技术向更短波长的合理延伸。这种光刻技术被公认为是最具潜力的下一代光刻技术,面对的是7nm和5nm节点,代表了当前应用光学发展最高水平,被行业赋予拯救摩尔定律的使命。作为前瞻性EUV光刻关键技术研究,国外同类技术封锁严重,项目指标要求高,技术难度大、瓶颈多。

  2022年,由长春光机所牵头承担的国家科技重大专项02专项——“极紫外光刻关键技术研究”项目顺利完成验收。

  长春光机所、中科院光电技术研究所、中科院上海光学精密机械研究所、中科院微电子研究所、北京理工大学、哈尔滨工业大学、华中科技大学等参研单位历经八年的潜心钻研,突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于0.75 nm RMS 的两镜EUV 光刻物镜系统,构建了EUV 光刻曝光装置,国内首次获得EUV 投影光刻32 nm 线宽的光刻胶曝光图形。

  ▲国家科技重大专项02专项——“极紫外光刻关键技术研究”项目成果展示

  建立了较为完善的曝光光学系统关键技术研发平台,圆满完成国家重大专项部署的研究内容与任务目标,实现EUV 光学成像技术跨越,显著提升了我国极紫外光刻核心光学技术水平。同时,项目的实施形成了一支稳定的研究团队,为我国能够在下一代光刻技术领域实现可持续发展奠定坚实的技术与人才基础。

  根据官方披露的消息,计划在2030年实现EUV光刻机的国产化。

  由此可见,国产光刻机要突破垄断还有很长的路需要走。好在,我们国家已经出台了光刻机的国家规划。

  2008年“极大规模集成电路制造装备及成套工艺”国家科技重大专项将EUVL技术列为下一代光刻技术重点攻关,《中国制造2025》将EUVL列为了集成电路制造领域的发展重点,并计划在2030年实现EUV光刻机的国产化。

  从国家规划来看,我国光刻机技术落后荷兰ASML公司至少20年。

  ▲上海集成电路研发中心(ICRD)与阿斯麦(ASML)签署合作备忘录

  2022年6月21日,上海集成电路研发中心有限公司(ICRD)与ASML签署合作备忘录,双方将在上海合作共建一个半导体光刻人才培训中心。

  未来,这个培训中心将拥有多款ASML的光刻设备和检测设备,也将逐步对国内半导体行业企业内的光刻工程师开放。这将大幅提高中国集成电路产业在高端和专业技术人才方面的培养力度。这对国内工程师熟悉设备工艺,提升光刻技能与专业知识具有积极的促进作用。

  综上所述,我国的蚀刻机技术已达世界先进水平。光刻机技术虽然已经取得了部分关键技术的突破,也得到了国际光刻机巨头ASML的支持,但是,光刻机技术要赶上世界先进水平还有很长的一段路要走。除蚀刻机与光刻机外,目前我国在芯片领域又发展的怎么样了呢?

  2022年8月17日,中国电子信息产业发展研究院(CCID)和工业和信息化部软件与集成电路促进中心(CSIP)在京联合发布了《中国集成电路产业人才白皮书(2022-2022)》。

  ▲《中国集成电路产业人才白皮书(2022-2022)》发布现场

  据白皮书统计分析显示,到2022年前后,我国集成电路产业人才需求规模约为72万人左右,截止到2022年底,我国集成电路产业现有人才存量40万人左右,人才缺口为32万人,年均人才需求数为10万人左右,而每年高校集成电路专业领域的毕业生中仅有不足3万人进入到本行业就业。单纯依托高校不能够满足人才的供给要求,应大力发展职业培训并开展继续教育活动,加大海外高层次人才引进力度,采取多种形式大力培养和培训集成电路领域高层次、急需紧缺和骨干专业技术人才。

  ⑴在美国制裁中兴前,很多人并不清楚我国芯片产业的短板。残酷的事实表明,除了移动通信终端和核心网络设备有部分集成电路产品占有率超过10%外,包括计算机系统中的MPU、通用电子系统中的FPGA/EPLD和DSP、通信装备中的Embedded MPU和 DSP、存储设备中的DRAM和Nand Flash、显示及视频系统中的Display Driver,国产芯片占有率都是0;

  ▲核心集成电路国产芯片市场占有率

  ⑵据SEMI数据显示,中国本土公司芯片需求与供应额正持续扩大,2022年中国公司仅能满足本土芯片需求的26%左右。此外,目前我国半导体设备自制率不足15%,且集中于晶圆制造的后道封测,前道工艺制程环节的关键设备如光刻机、刻蚀机、薄膜沉积等仍有待突破;且晶圆制造等设备在采购中面临国外企业的技术封锁,需要全面推进国产化工作;

  ▲我国集成电路国内市场销售总额以及自给率统计图(注:2022年起,我国集成电路的自给率均在10%以上,E——Estimate:估计,预测)

  ▲集成电路生产步骤、主要工艺以及所需的设备

  ▲集成电路制造设备

  ⑶我国虽然是全球最大的芯片市场,但是由于国内芯片产业整体实力落后于世界先进水平,每年不得不从国外大量进口芯片,进口额高、贸易逆差大成为芯片产业难以撕掉的标签。据海关总署数据显示,2022年以来,集成电路年进口额便维持在2000亿美元以上,2022年达到2601亿美元。进出口贸易逆差也在不断扩大,2022年达到了近年来最高值1932亿美元。芯片产业长期被国外厂商控制,进口额常年居高不下,已经超过了石油和大宗商品,成为我国第一大进口商品;

  ▲2022~2022年我国集成电路和原油进口额对比图

  ▲近几年我国集成电路进、出口总额以及贸易赤字数据统计图

  ⑷我国虽然有着全球最大的半导体市场,但集成电路设计企业的主流产品仍然集中在中低端,与国外企业差距巨大。据Gartner发布的数据,2022年营收规模排在全球前10名的半导体企业中,无一家属于中国,其中韩国占2家,欧洲2家,而美国则多达6家,是名副其实的芯片霸主。

  就目前产业情况来看,中国芯片顺利完成国产化进程,仍然需要一定的时间,大约是10-15年。所以,即便是我国的蚀刻机技术已经达到了世界先进水平,我国的芯片产业依旧前路艰辛。

  ▲我国半导体产业的发展阶段图

  ▲我国的国家集成电路发展推进纲要

  光刻机被业界誉为集成电路产业皇冠上的明珠,研发的技术门槛和资金门槛非常高。也正是因此,能生产高端光刻机的厂商非常少,到最先进的14nm光刻机就只剩下ASML,日本佳能和尼康已经基本放弃第六代EUV光刻机的研发。

  ▲各代光刻机的参数对比

  自从1978年,美国GCA公司推出了全球第一台光刻机之后,日本的光学设备巨头Nikon便在光刻机市场迅速崛起,日本的佳能和荷兰的ASML也是紧跟其后,很快市场上便形成了三强并立的局面,这三家厂商几乎垄断了整个光刻机市场。其中尼康市的场份额长期都在50%以上,可谓是当之无愧的霸主。

  不过在193nm光刻技术逐渐成为市场主流之后,Nikon和Canon的市场份额便开始加速下滑,ASML开始后来居上。特别是2002年之后,193nm浸没式光刻技术迅速成为光刻技术中的新宠,因为此种技术的原理清晰及配合现有的光刻技术变动不大,获得了众多厂商的应用,此后很多45nm、32nm工艺的CPU芯片制造,都是采用193nm液浸式光刻系统来完成的。

  而ASML也凭借其在193nm浸没式光刻技术上的优势一举超越了Nikon和Canon。 目前193nm液浸式光刻仍然是应用最广且最成熟的技术,能够满足精确度和成本要求,所以其工艺的延伸性非常强,很难被取代。再加上新的EUV光刻技术的一再推迟,以至于随后的22/16/14/10nm节点主要几家芯片厂商也仍然继续使用基于193nm液浸式光刻系统的双重成像(double patterning)技术。

  2012年7月,为了加快下一代EUV光刻技术和450mm晶圆技术研发,ASML宣布开展客户共同投资计划,邀请其最大的客户参与投资和研发。

  国际半导体巨头英特尔率先做出响应,向ASML投资了33.67亿欧元,其中8.29亿欧元用于450毫米晶圆和EUV极紫外光刻技术,25.38亿欧元用于购买15%的股权。一个月后,台积电也宣布投资2.76亿欧元(3.44亿美元)帮助ASML开发新技术,再拿出8.38亿欧元购买5%的股权。随后,三星也投入也是2.76欧元)帮助ASML开发新技术,不过购买股权方面只花了5.03亿欧元(6.28亿美元),换取了3%的股权。

  三大国际半导体大厂总共向ASML投入了13.8亿欧元(17.22亿美元)的研发资金,正好达到了ASML最初的预期值,而ASML通过出让23%的股权换来了38.79亿欧元(48.39亿美元),合计达到52.59亿欧元(65.61亿美元)。

  英特尔、台积电、三星之所以选择资助和入股ASML其中一个原因就是为了推动其EUV光刻机的研发。

  这也促使了ASML在2012年的时候就推出了试验型EUV光刻设备NXE:3100,后续又推出了量产型NEX:3300B,2022年又推出了NXE 3350B。目前各家主要在用的就是NXE 3350B。但都难以达到EUV光刻的量产要求。

  好在,ASML最高端的EUV光刻机NXE 3400B已经开始出货。根据目前的情况来看,英特尔、三星、台积电都希望在7nm工艺量产上采用EUV光刻技术(英特尔可能会用于其10nm工艺的量产)。而ASML的NXE:3400B EUV光刻机则成为了他们能否实现量产计划的关键。

  放眼全球,能够邀请到主要客户参与巨额定增给自己研发费用,研发成功后的产品再卖给客户赚钱的公司,可能仅有ASML了。ASML被全球半导体巨头钦点,攻克EUV难题进而突破约束先进制程的物理障碍。

  不过,台积电已于2022年5月全部出售了其所持有的5%的ASML股权,获利214亿台币(约合6.95亿美元)。

  2022年三星以6.06亿欧元的价格出售630万股ASML股票,每股约96欧元,仅这一笔出售三星获利颇丰。

  英特尔从2022年底开始出售ASML股权,截至2022年9月,英特尔仍持有ASML约7.6%的股份。

  显然,英特尔、三星和台积电之所以都这样做,都是想在芯片制造领域取得领先的技术优势。

  目前全球光刻机厂商有4家,分别是ASML(阿斯麦)、Nikon(尼康)、Canon(佳能)和上海微电子(SMEE)。2022年全球光刻机总出货294台,其中ASML共就出货198台(其中EUV光刻机11台),占全球68%的市场份额。EUV光刻机方面,ASML占有率100%。2022年单台EUV机台平均售价超过1亿欧元,2022年一季度的售价 更是接近1.2亿欧元(有价无货)。在高端光刻机方面,ASML占有88%的市场。

  ▲荷兰ASML、日本佳能和尼康三家公司光刻机出货比重

  在高端光刻机上,除了龙头老大ASML,尼康和佳能也曾做过光刻机,而且尼康还曾经得到过Intel的订单。

  但是近些年,尼康在ASML面前被打的毫无还手之力,高端光刻机市场基本被ASML占据——即便是尼康最新的Ar-F immersion 630卖价还不到ASML Ar-F immersion 1980D平均售价的一半,也无法挽回败局。

  ASML的 EUV NXE 3350B 单价超过1亿美元,ArF Immersion售价大约在7000万美元左右。相比之下,尼康光刻机的单价只相当于ASML价格的三分之一。

  ▲ASML公司EUV光刻机的开发蓝图

  ▲ASML公司近几年推出的三款光刻机

  ASML为半导体生产商提供光刻机及相关服务,TWINSCAN系列是目前世界上精度最高,生产效率最高,应用最为广泛的高端光刻机型。目前全球绝大多数半导体生产厂商,都向9ASML采购TWINSCAN机型,例如英特尔(Intel),三星(Samsung),海力士(Hynix),台积电(TSMC),中芯国际(SMIC)。

  ASML的产品线分为PAS系列,AT系列,XT系列和NXT系列,其中PAS系列光源为高压汞灯光源,现已停产,AT系列属于老型号,多数已经停产。市场上主力机种是XT系列以及NXT系列,为ArF和KrF激光光源,XT系列是成熟的机型,分为干式和沉浸式两种,而NXT系列则是现在主推的高端机型,全部为沉浸式。

  三星已经量产的7nm LPP(Low Power Plus)工艺芯片采用ASML的EUV光刻机,型号为双工件台NXE:3400B(光源功率280W),日产能1500片。

  ▲ASML最新的 TWINSCAN NXE:3400B EUV光刻机

  值得一提的是,ASML2022年下半年会推出新一代的NXE:3400C型光刻机,WPH(每小时处理的晶圆数量)产能从现在的每小时125片晶圆提升到155片晶圆以上,意味着产能提升24%。

  ▲ASML2022新一代的NXE:3400C型光刻机(预计将于2022年下半年推出)

  现在的NXE:3400B型EUV光刻机的产能为125 WPH,而NXE:3400C的产能预计再提升24%,这对改善EUV工艺的产能很有帮助。

  ▲ASML2022年8月出货的新品Twinscan NXT:2000i DUV光刻机 (NXT:2000i双工件台深紫外光刻机)

  NXT:2000i也成为了ASML旗下套刻精度(overlay)最高的产品,达到了和3400B一样的1.9nm,远低于5nm要求的2.4nm以及7nm要求的至少3.5nm。 未来将会用于全新的7nm和5nm的工艺。

  ASML解释道,i是immersion的意思。NXT2000都是immersion的机器。所以NXT2000即NXT2000i。

  NXT2000i将是NXE3400B EUV光刻机的有效补充,毕竟台积电/GF的第一代7nm都是基于DUV工艺。

  DUV是深紫外线(Deep Ultriolet Lithography)。紫外线中波长在200纳米至350纳米的光线被称为深紫外线,被广泛用于净水厂、医院、工厂无尘车间的空气杀菌、处理甲醛等领域。目前常见的浸入式深紫外光刻机(DUV)使用的时193nm波长的深紫外光。

  EUV是极紫外线(Extreme Ultriolet Lithography)。EUV光刻技术是以波长为10-14纳米的极紫外光作为光源的光刻技术。具体为采用波长为13.4nm 的软x 射线。极紫外线就是指需要通过通电激发紫外线管的K极然后放射出紫外线。现在的EUV光刻机使用的是波长13.5nm的极紫外光。

  ASML公司2022年营收109亿欧元(约839亿元人民币),其中设备销售额82.59亿欧元(约636亿元人民币),净利润26亿欧元(约200亿元人民币)。2022年ASML投入16亿欧元(约123亿元人民币)研发,占营收约15 %。其中来自中国市场的销售额就占到了19%,而ASML CEO表示来自中国市场的强劲需求还会持续下去,因此他们对2022年的业绩也很有信心。

  中国的晶圆厂除了进口ASML公司的ArF、KrF传统光刻机之外,EUV光刻机也采购了。不过国内没有大批量采购EUV光刻机的原因主要是技术还没跟上,EUV光刻机适用于7nm及以下工艺,国内目前量产的工艺还是28nm,14nm工艺研发完成了,但是中芯国际今年才能规模量产。

  根据中银国际机械团队统计,2022年5月19日,长江存储订购的ASML193nm浸没式光刻机运抵武汉。这台光刻机售价7200万美元,用于14 nm-20 nm工艺3D NAND闪存生产;

  5月21日,华力二期(华虹六厂)订购的193nm双极沉浸式光刻机NXT:1980Di已经进场,用于其12英寸先进生产线建设项目;中芯国际(SMIC) 也已向ASML定购一台价值1.2亿美元 的EUV(极紫外线)光刻设备,预计2022年交付。这些设备价格十分高昂,单价在7000万美元至1.2亿美元。

  2022年全年,ASML公司共出货EUV光刻机18台,虽然比起2022年的11台大幅增长,但是比之前预期的20台还是有所减少的。根据ASML公司所说,是他们的客户为了平衡市场供需而延缓了EUV光刻机的交付时间,从今年上半年推迟到了下半年。ASML预计2022年全年将出货30台EUV光刻机。

  这俩机器最简单的解释就是光刻机把电路图投影到覆盖有光刻胶的硅片上面,刻蚀机再把刚才画了电路图的硅片上的多余电路图腐蚀掉,这样看起来似乎没什么难的,但是有一个形象的比喻,每一块芯片上面的电路结构放大无数倍来看比整个北京都复杂,这就是这光刻和蚀刻的难度。

  按照掩模图形对半导体衬底表面或表面覆盖薄膜进行选择性腐蚀或剥离的技术工艺,是与光刻相联系的图形化处理的主要工艺。所谓刻蚀,说的通俗一点就是利用有化学活性的等离子体在硅片上雕刻出微观电路,是芯片设计过程中一个关键工艺环节。

  蚀刻通常分为干法刻蚀和湿法刻蚀。湿法刻蚀主要是在较为平整的膜面上用稀释的化学品等刻出绒面,从而增加光程,减少光的反射。干法刻蚀是用等离子体(气体)进行薄膜刻蚀的技术工艺,通过电场对等离子体进行引导和加速,使其具备一定能量,当其轰击被刻蚀物的表面时,更快地与材料进行反应,从而利用物理上的能量转移实现刻蚀目的。

  等离子体刻蚀在集成电路制造中已有40余年的发展历程,最早70年代引入用于去胶,80年代成为集成电路领域成熟的刻蚀技术。刻蚀采用的等离子体源常见的有容性耦合等离子体(CCP-capacitivelycoupled plasma)、感应耦合等离子体ICP(Inductively coupled plasma)和微波ECR 等离子体(microwe electron cyclotronresonance plasma) 等。

  ▲等离子体刻蚀

  虽然等离子体刻蚀设备已广泛应用于集成电路制造,但由于等离子体刻蚀过程中复杂的物理和化学过程到目前为止仍没有一个有效的方法完全从理论上模拟和分析等离子体刻蚀过程。除刻蚀外,等离子体技术也成功的应用于其他半导体制程,如溅射和等离子体增强化学气相沉积(PECVD)。当然鉴于plasma丰富的活性粒子,plasma也广泛应用于其他非半导体领域,如空气净化,废物处理等。

  只有通过一层层的刻蚀,才能把芯片做出来。这又是国外垄断的领域。于方寸间近乎神一样的操作,要求刻蚀机的精度必须达到极致。打个比方,在高倍的电子扫描镜下,将芯片放大一万倍,它的结构就像是密密麻麻的立交桥和高速公路,而这些高速公路,只有头发丝的万分之一那么宽。刻蚀机就是在指甲盖大小的芯片上建这些“立交桥和高速公路”。

  凭着过去20多年的经验和基础技术支持,尹志尧和他的团队很快就开发出了第一台国产的生产半导体芯片的设备——等离子体刻蚀机。

  等离子体刻蚀机是在芯片上进行微观雕刻,刻出又细又深的接触孔或者线条,每个线条和深孔的加工精度是头发丝直径的几千分之一到上万分之一。“在米粒上刻字的微雕技艺上,一般能刻200个字已经是极限,而我们的等离子刻蚀机在芯片上的加工工艺,相当于可以在米粒上刻10亿个字的水平。”尹志尧这样形容到。

  一个16nm的微观逻辑器件有60多层微观结构,要经过1000多个工艺步骤,要攻克上万个技术细节才能加工出来。只看等离子体刻蚀这个关键步骤,它的加工尺度为普通人头发丝的五千分之一,加工的精度和重复性要达到五万分之一。

  而5纳米刻蚀工艺更紧密,就好比用一个比头发丝还小20000倍的笔,在一粒不过3cm的大米上,写出十亿个中文繁体字,难度可想而知。

  在刻蚀设备领域,美国的泛林半导体凭借着先发优势和大量研发投入保持行业龙头地位,但中国厂商中微半导体在近十年迅速崛起,并开始打入国际市场。

  中微在刚刚涉足IC芯片介质刻蚀设备时,就推出了65nm等离子介质刻蚀机产品,随着技术的进步一直做到45nm、32nm、28nm等,现在16nm刻蚀机已经实现了商业化量产, 而且进入台积电的5个半导体生产线。

  目前尹志尧的团队能研发生产10nm到7nm的设备已经与世界最前沿技术比肩。这些团队精英中,上百人都曾是美国和世界一流的芯片和设备企业的技术骨干,大都有着20到30多年半导体设备研发制造的经验。

  7-10nm刻蚀机设备可以与世界最前沿技术比肩。

  ▲美国商业部的工业安全局决定将等离子刻蚀机从美国对中国控制出口名录中去除

  随着中微的崛起, 2022年美国商业部的工业安全局特别发布公告, 承认中国已经拥有制造具备国际竞争力刻蚀机的能力, 且等离子刻蚀机已经进入量产阶段,因而决定将等离子刻蚀机从美国对中国控制出口名录中去除。

  国内产商:中微半导体、北方微电子、金盛微纳科技;

  国外厂商:泛林半导体、应用材料、东京电子。

  ▲中微半导体设备(上海)有限公司 (AMEC)的LOGO

  公司名称:中微半导体设备(上海)有限公司

  英文名称:Advanced Micro-Fabrication Equipment Inc.

  所属地区:上海市

  厂商类型:设备厂商

  公司网站:http://www.amec-inc.com

  数据提供:上海集成电路行业协会提供

  中微半导体设备(上海)有限公司 (AMEC)由尹志尧博士与杜志游博士、倪图强博士、麦仕义博士等40多位半导体设备专家创办,主要深耕集成刻蚀机领域,研制出中国大陆第一台电介质刻蚀机。

  该公司于2004年5月31日在浦东新区市场监管局登记成立。法定代表人是尹志尧(GERALD ZHEYAO YIN)。

  中微半导体设备(上海)有限公司是一家具有自主研发功能的科研企业,研发了多款具自主知识产权的芯片设备,并在全球范围内申请了1200余项专利。

  中微半导体拥有一支国际化的团队,经过海外引进和本土培养,中微600多名员工来自十多个国家和地区。而且公司的研发团队十分完整,200多人的专业背景覆盖30多门学科,为刻蚀机研发这一系统工程奠定了基础。

  尹志尧说,由于新加坡已成为亚洲半导体制造业的重镇,所以AMEC将其全球销售和市场总部设在了那里。 他说,选择新加坡作为市场总部,是有多方面考虑的。

  首先,新加坡政府和经济发展局对高科技非常重视,提供了优惠条件。其次,新加坡的财务制度很健全,法律制度也很严谨,这对投资者来说非常重要。第三,新加坡有非常好的芯片生产的工业基础,比如在机械加工和线路板供应等配套设施方面,都是令人满意的。最后一点,新加坡政治稳定,政府廉洁高效,而且新加坡员工的市场营销能力非常出色。

  中微是半导体设备领域唯一一家高端产品达到国际先进水平并全面进入国际市场的中国企业。

  中微注重研发投入来保持设备产品及技术的先进性,主营三大类产品:

  用于纳米级芯片生产的介质刻蚀设备(D-RIE)、用于三维芯片等多种产品生产的硅通孔刻蚀设备(TSV)和用于半导体照明和功率器件芯片生产的金属有机化合物气相沉积设备(MOCVD)。

  ▲2022年3月13日,中微半导体设备(上海)有限公司(以下简称“中微”)在上海举办的SEMICON China期间正式发布了第一代电感耦合等离子体刻蚀设备Primo nanova?,用于大批量生产存储芯片和逻辑芯片的前道工序。

  Primo nanova?是中微公司的注册商标。

  中微半导体的目标是:未来十年将持续开发新产品,扩大市场占有率,2022年营收达20亿元、2050年营收达50亿元,并进入国际五强半导体设备公司。

  ⒈高端等离子刻蚀机领域:

  中微的12英寸CCP介质等离子体刻蚀机已成为和美日设备并列的三个最有竞争力的产品之一;

  ⒉硅通孔刻蚀机领域:

  中微的TSV硅通孔刻蚀机是业界唯一的双台机,是性能好、加工成本最低的刻蚀设备,已经可以和美国、日本和欧洲TSV刻蚀机比肩而行;

  ⒊化学薄膜技术MOCVD领域:

  中微的MOCVD是具有自动传送的四反应腔系统,可以连续加工上百批LED,是业界仅有可做到这个水平的两种设备之一,成功地填补了中国在该领域的空白。

  一、电介质刻蚀机

  公司率先开发了包括甚高频去耦合反应离子刻蚀的等离子体源和双反应台的反应腔等一系列完全自主创新的设计,使之与国外同类设备相比,在产能、洁净室面积占用和设备拥有成本等重要指标上都具有约30%的优势。

  目前完成了65-45纳米、32-22纳米、22-14纳米三代电介质刻蚀装备产品研制并实现了产业化,而且已经在国际市场上,在各个技术节点上都与世界最先进的设备厂商竞争。 中微半导体的CCP介质刻蚀设备已经全面进入国际领先的芯片生产线。介质刻蚀已经占到40纳米到28纳米的国内Foundry市场的40%以上。

  在最领先的Foundry已经有了232个反应台,生产了2500多万片晶圆。中微已经在10纳米和7纳米的研发线核准了几道刻蚀应用,成为了标配设备。

  同时中微半导体已经开始了5纳米的器件刻蚀开发,会核准更多的蚀刻应用。

  1、Primo D-RIE?

  Primo D-RIE?是中微(AMEC)公司自主研发的300毫米电介质刻蚀设备,可以用于加工64/45/28纳米氧化硅(SiO),氮化硅(SiN)及低介电系数(low K)膜层等不同电介质材料。

  设备系统可以灵活地装置多达三个双反应台反应器,以达到最佳芯片加工输出量。 每个反应立的射频发生器,各反应台均匀度的分别控制和刻蚀终点控制,使得每片晶圆可以在独立的反应环境中被刻蚀处理,达到最佳结果。这是业界第一次在同一机台上实现单芯片或双芯片加工随意转换成为可能。

  2、Primo AD-RIE?

  Primo AD-RIE是中微(AMEC) 公司用于流程前端(FEOL)及后端(BEOL)关键刻蚀应用的第二代电介质刻蚀设备,主要用于22纳米及以下的芯片刻蚀加工。Primo AD-RIE具备更优越的重复性及稳定性以外,还可将晶片上关键尺寸均匀度控制在2纳米内。

  Primo AD-RIE? 设备的单位投资产出率比市场上其他同类设备提高了30%以上,占地面积较其他同类设备减少了30%以上,并能使加工晶圆的成本降低20%至40%,Primo AD-RIE? 设备已成为市场上生产率最高、单位投资产出率最高的先进刻蚀设备,用于各种关键及通常的工艺应用。

  二、硅通孔刻蚀机

  开发了8英寸和12英寸TSV硅通孔刻蚀设备Primo TSV200E和Primo TSV300E;特色是拥有双反应台的反应器,既可以单独加工单个晶圆片,又可以同时加工两个晶圆片。Primo TSV可安装多达三个双反应器(6个反应台),与同类竞争产品仅有单个反应台的设备相比,中微TSV刻蚀设备的这一特点使晶圆片产出量近乎翻了一番,同时又降低了加工成本,单位投资产出率比市场上其他同类设备提高了30%。可应用于8英寸晶圆微电子器件、微机电系统、微电光器件等的封装。

  产品不但占有了约50%的国内市场,而且已经进入了台湾、新加坡、日本和欧洲市场。特别是在国际MEMS传感器最领先的博世(BOSCH)和意法半导体(STM)进入大生产。

  而且Primo TSV300E可以和中微的Primo D-RIE?刻蚀设备灵活结合,混合配置出具备在同一平台进行等离子体刻蚀和TSV硅通孔刻蚀能力的设备。这种灵活的安排带来了技术最优化和成本的竞争优势。

  三、MOCVD

  中微的MOCVD设备在国内氮化镓蓝光LED外延加工市场实现逆袭,其第二代Primo A7设备,已在国内全面取代德国Aixtron和美国Veeco的设备。每台Prismo A7设备可容纳多达4个反应腔, 每个反应腔的产量是中微第一代MOCVD设备Prismo D-BLUE的2倍多,也就是每台可同时加工136片4英寸外延晶片。同时A7已在中国大陆、台湾、日本、韩国和美国等国家和地区申请专利155项(包括授权和未授权)。

  自2022年第二代MOCVD设备Prismo A7第一次推向市场以来,已经付运超过100台腔体。

  MOCVD的产品有着广阔的不断成长的市场,从照明领域的蓝光LED到红黄光LED/紫外光LED,再到功率领域的功率器件,再到显示领域的Micro-LED,再到IC领域的单晶IC器件。

  芯片刻蚀的尺寸大小和芯片温度有着密切关系。如果要求刻蚀均匀性达到1纳米,那么整个芯片的温度差异就要控制在2度以内。目前中微自主研发的温控设计可以让刻蚀过程的温控精度保持在0.75度以内,优于国际水平。

  同时,中微和国内厂家合作,研制和优化了一整套采用等离子体增强的物理气象沉积金属陶瓷的方法,这种创新的方法极大地改善了材料的性能,其晶粒更为精细、致密,缺陷几乎为零。相比国外当前采用的喷淋盘,中国的陶瓷镀膜喷淋盘寿命可以延长一倍,造价却不到五分之一。

  目前,中微半导体的介质刻蚀设备、硅通孔刻蚀设备、MOCVD设备等均已成功进入国内外重要客户供应体系。截至2022年底,已有620多个中微半导体生产的刻蚀反应台运行在海内外39条先进生产线上。

  另据中微半导体设备(上海)有限公司IT/ERP总监董祥国介绍,作为国内集成电路装备制造领域的领先制造企业,中微半导体近年来成功研发了具有自主知识产权的介质刻蚀机,被广泛的应用于海内外一流客户的生产线,从65纳米到10纳米工艺的芯片加工制造,已在国内外27条高端芯片生产线实现大规模量产。

  据海关统计,2022年仅中微半导体设备的出口额就占据中国半导体设备总出口额的75%,在行业设备出口领域做到了真正做到了行业第一。

  2022年年初,中微半导体公司获悉,美方涉嫌侵犯中微公司专利权的设备即将从上海浦东国际机场进口,随即向上海海关提出扣留侵权嫌疑货物的申请。上海海关及时启动知识产权海关保护程序,在进口环节开展行政执法,根据权利人申请,暂停涉嫌侵权设备的通关,这批设备货值达3400万元。

  ▲专利PK:中微半导体(AMEC)VS 美国Veeco(美国维易科公司)

  随着海关介入执法,美方开始正视中微公司的自主研发专利及其在中国的知识产权状况,主动与中微公司展开谈判,双方最终达成全球范围相互授权的和解协议。

  2022年5月,中微半导体设备(上海)有限公司(AMEC)在全球领先的半导体行业市场研究公司VLSI Research发布2022年度客户满意度调查的多项排名中位居前五。其中在芯片制造设备专业型供应商的前十名中位居第二,并被客户列为“值得信赖和推荐的供应商”,在全球晶圆制造设备供应商中排名第三,在专用芯片制造设备供应商中排名第四。这是自1988年VLSI开始举办客户满意度调查,至今30年来首个上榜的中国本土装备供应商。

  ▲全球领先的半导体行业市场研究公司VLSI Research发布2022年度客户满意度调查,其中在芯片制造设备专业型供应商的前十名中,中微半导体设备(上海)有限公司(AMEC)位居第二

  ▲上海微电子装备有限公司LOGO

  上海微电子装备(SMEE)有限公司坐落于张江高科技园区内,邻近国家集成电路产业基地、国家半导体照明产业基地和国家863信息安全成果产业化(东部)基地等多个国家级基地。

  公司成立于2002年,致力于半导体装备、泛半导体装备、高端智能装备的研发制造,产品包括前道光刻机、后道封装光刻机、平板显示光刻机、检测设备、搬运设备等。

  上海微电子(SMEE)深耕光刻机产品研发,承担多项专项科研任务。公司承接了光刻机国家重大科技专项,以及02专项“浸没光刻机关键技术预研项目”(通过国家验收)和“90nm光刻机样机研制”(通过了02专项专家组现场测试)任务。

  该公司的IC前道制造光刻机最高可实现90nm制程,有望快速将产品延伸至65纳米制程。

  公司的IC后道封装光刻机可以满足各类先进封装工艺的需求,已经实现批量供货,并出口到海外市场,国内市场占有率达到80%,全球市场占有率40%;用于LED制造的投影光刻机的市场占有率也达到20%。

  作为国内光刻机设备领域的领航者,上海微电子承担着国产光刻机设备的希望,有望实现国产光刻设备的重大突破。

  ▲ASML公司LOGO

  荷兰ASML公司 (全称: Advanced Semiconductor Material Lithography,

  中文名称为阿斯麦(中国大陆)、艾司摩尔(中国台湾)。目前该全称已不做做为公司标识使用,公司的注册标识为ASML Holding N.V(NASDAQ:ASML、Euronext:ASML)。

  ASML创立于1984年,是从飞利浦独立出来的一个半导体设备制造商。前称ASM Lithography Holding N.V.,于2001年改为现用名,总部位于荷兰费尔德霍芬(Veldhoven),全职雇员12000余人,是一家半导体设备设计、制造及销售公司。

  ASML是全球最大的半导体设备制造商之一,向全球复杂集成电路生产企业提供领先的综合性关键设备。

  1995年,ASML的股票分别在阿姆斯特丹及纽约上市。

  ASML专注关键环节,研发投入与专业并购形成正向循环。ASML的研发人员占比将近4成,并累计1万个以上专利。相较于尼康及佳能内部研发多数部件与技术的模式,ASML推行部件外包与技术合作开发策略,专注于核心技术与客户需求,具有较高的方案弹性与效率。

  ASML公司先后对光刻的细分领域龙头进行投资,其中包括在2000年收购Silicon Valley Group,扩展了在美国的研发团队与生产基地;在 2007年收购了美国的Brion,强化了专业光刻检测与解决方案能力;为了解决 EUV的光源问题,2012年 10月,ASML斥资 19.5亿欧元,收购其关键的紫外光源技术提供商 Cymer,加速极紫外光(EUV)相关技术的开发。

  ASML公司 2022年的 EUV设备 NXE 3400B,成功提高光源功率与精度,实现约 13纳米的线宽,并且采用磁悬浮系统来加速掩模及工作台,预期吞吐量可达每小时 125片晶圆,微影迭对(overlays)误差容许度在 3纳米以内。

  2022年11月3日,ASML以10亿欧元现金收购卡尔蔡司SMT子公司的24.9%股权,强化双方在半导体光刻技术方面的合作,发展下一代EUV光刻系统。后两起对技术供应商的投资,加大了公司在极紫外光领域的领先优势。

  同时ASML也将在未来6年内投资约2.2亿欧元支持Carl Zeiss SMT在光学光刻技术上的研发,以及约5.4亿欧元的资本支出和其他相关供应链投资。

  简单来说,我们制造的光刻设备是一种投影系统。这个设备由50000个零件组装而成。

  实际使用过程中,则通过激光束被投穿过一片印着图案的蓝图或光掩模,光学镜片将图案聚焦在有着光感化学涂层的硅晶圆上,当未受曝光的部分被蚀刻掉时,图案随即显现……

  此制程被一再重复,用以在单个芯片上制造数以十亿计的微型结构。晶圆以2纳米的精准度互相叠加,并加速移动,快如闪电,达到这种精确度可谓高科技,要知道,即使头发丝也有十万纳米,2纳米的精细可想而知。

  未来,只有他的EUV光刻机能够帮助芯片接续微缩,因此这些设备纵使卖到上亿欧元,都能被客户所接受。

  光刻机(Mask Aligner) 又名:掩模对准曝光机,曝光系统,光刻系统等。常

  用的光刻机是掩膜对准光刻,所以叫 Mask Alignment System。

  ▲光刻机总体结构图

  一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀等工序。

  Photolithography(光刻) 意思是用光来制作一个图形(工艺)。

  在硅片表面匀胶,然后将掩模版上的图形转移光刻胶上的过程将器件或电路结构临时“复制”到硅片上的过程。

  ▲光刻机原理图

  半导体芯片制作分为IC设计、IC制造、IC封测三大环节,光刻作为IC制造的核心环节,其主要作用是将掩模版上的芯片电路图转移到硅片上。由于光刻的工艺水平直接决定芯片的制程水平和性能水平,光刻成为IC制造中最复杂、最关键的工艺步骤,耗费时间约占整个硅片工艺的40―60%,支出约为整个硅片制造工艺的1/3。光刻的核心设备――光刻机更是被誉为半导体工业皇冠上的明珠。

  光刻设备是一种投影曝光系统。在半导体制作过程中,光刻设备会投射光束,穿过印着图案的掩模及光学镜片,将线路图曝光在带有光刻胶的硅晶圆上;通过光刻胶与光的反应来形成沟槽,然后再进行沉积、蚀刻、掺杂,架构出不同材质的线路。

  其中掩膜版上面会有很多的布线,形成沟槽以后在里面会布很多的二极管、三极管等,来形成不同的功能。单位面积上布的线越多,能够实现的功能就越多,效能也越高,耗能越少。

  ▲EUV光刻机上使用的各种紫外光光源

  曝光系统最核心的部件之一是紫外光源。

  常见光源分为:

  可见光:g线:436nm

  紫外光(UV),i线:365nm

  深紫外光(DUV),KrF 准分子激光:248 nm, ArF 准分子激光:193 nm

  极紫外光(EUV),10 ~ 15 nm

  对光源系统的要求

  a.有适当的波长。波长越短,可曝光的特征尺寸就越小;(波长越短,就表示光刻的刀锋越锋利,刻蚀对于精度控制要求越高。)

  b.有足够的能量。能量越大,曝光时间就越短;

  c.曝光能量必须均匀地分布在曝光区。(一般采用光的均匀度 或者叫 不均匀度 光的平行度等概念来衡量光是否均匀分布)

  常用的紫外光光源是高压弧光灯(高压汞灯),高压汞灯有许多尖锐的光谱线,经过滤光后使用其中的g 线(436 nm)或i 线(365 nm)。

  对于波长更短的深紫外光光源,可以使用准分子激光。例如KrF 准分子激光(248 nm)、ArF 准分子激光(193 nm)和F2准分子激光(157 nm)等。

  曝光系统的功能主要有:平滑衍射效应、实现均匀照明、滤光和冷光处理、实现强光照明和光强调节等。

  光刻机是芯片制造的核心设备之一,按照用途可以分为好几种:有用于生产芯片的光刻机;有用于封装的光刻机;还有用于LED制造领域的投影光刻机。用于生产芯片的光刻机是中国在半导体设备制造上最大的短板,国内晶圆厂所需的高端光刻机完全依赖进口。

  光刻的过程就是现在制作好的硅圆表面涂上一层光刻胶(一种可以被光腐蚀的胶状物质),接下来通过光线(工艺难度紫外光<深紫外光<极紫外光)透过掩膜照射到硅圆表面(类似投影),因为光刻胶的覆盖,照射到的部分被腐蚀掉,没有光照的部分被留下来,这部分便是需要的电路结构。

  ▲光刻工艺步骤

  光刻(lithography)为集成电路微细化的最关键技术。当前在16/14nm节点乃至10及7nm节点,芯片制造商普遍还在使用193nm ArF浸润式光刻机+多重成像技术,但采用多重成像技术后将增加曝光次数,导致成本显著上升及良率、产出下降等问题。根据相关企业的规划,在7/5nm节点,芯片生产将导入极紫外(EUV)光刻技术,EUV光刻使用13.5nm波长的极紫外光,能够形成更为精细的曝光图像。

  极紫外光刻(Extreme Ultriolet Lithography),常称作EUV光刻或EUVL,它以波长为10-14纳米的极紫外光作为光源的光刻技术。具体为采用波长为13.4nm 的软x 射线。极紫外线就是指需要通过通电激发紫外线管的K极然后放射出紫外线。

  EUV(极紫外线光刻技术)是下一代光刻技术(<32nm节点的光刻技术)。它是采用波长为13.4nm的软x射线进行光刻的技术。是传统投影光刻技术向更短波长的延伸,正处于产业化的临界点。作为工业制造领域尖端技术的融合,世界上只有少数几家研究机构及公司掌握此技术。这种光刻技术代表了当前应用光学发展最高水平。而作为下一代光刻技术,被行业赋予了拯救摩尔定律的使命。

  EUV光是通过使用来自高功率二氧化碳激光器的双脉冲瞄准微小锡滴而产生的。第一个脉冲将锡滴重新塑造成模糊的薄饼形状,这样第二个脉冲就会更加强大并且跟随它仅仅3微秒,它可以将锡爆炸成等离子体,并以13.5纳米的光照射。然后将光聚集,聚焦并从图案化的掩模上弹开,使得图案将投射到硅晶圆上。

  芯片厂商计划将EUV光刻应用到最困难的光刻工序,即金属1层以及过孔生成工序,而其他大部分工序则仍将延用193nm ArF浸润式光刻机+多重成像来制作。据ASML称,相比浸润式光刻+三重成像技术,EUV光刻技术能够将金属层的制作成本降低9%,过孔的制作成本降低28%。

  除光刻机之外,EUV光刻要在芯片量产中应用仍有一些技术问题有待进一步解决,如:光刻胶、掩膜、掩膜保护薄膜(pellicle)。

  光刻胶方面,要实现大规模量产要求光刻胶的照射反应剂量水平必须不高于20mJ/cm2。而目前要想得到完美的成像,EUV光刻胶的照射剂量普遍需要达到30-40mJ/cm2。在30mJ/cm2剂量水平,250w光源的EUV光刻机每小时吞吐量只能达到90片,显著低于理想的125片。

  由于EUV光刻产生的一些光子随机效应,要想降低光刻胶的照射剂量水平仍需克服一系列挑战。其中之一是所谓的光子发射噪声现象。光子是光的基本粒子,成像过程中照射光光子数量的变化会影响EUV光刻胶的性能,因此会产生一些不希望有的成像缺陷,比如:线边缘粗糙(line-edge roughness:LER)等。

  光掩膜版,EUV光刻使用镜面反射光而不是用透镜折射光,因此EUV光刻采用的光掩膜版也需要改成反射型,改用覆盖在基体上的硅和钼层来制作。同时,EUV光刻对光掩膜版的准确度、精密度、复杂度要求比以往更高。

  当前制作掩膜版普遍使用的可变形状电子束设备(VSB),其写入时间成为最大的挑战,解决方案之一是采用多束电子束设备。包括IMS公司、NuFlare公司等已在开发相关多束电子束产品,多束电子束设备能够提高光掩膜版制作效率,降低成本,还有助于提高光掩膜版的良率。未来,大部分EUV光掩膜版仍可以使用可变形状电子束设备来制作,但是对少数复杂芯片而言,要想保持加工速度,必须使用多束电子束设备。

  在EUV发展过程中,最大的一个痛点就在于极其昂贵的MASK(EUV薄膜)。这种被称为薄膜的覆盖物可以“保持”铸造在硅片上的图案。MASK作为光掩膜的保护层,提供阻隔外界污染的实体屏障,可以防止微尘或挥发气体污染光掩膜表面,减少光掩膜使用时的清洁和检验。

  ASML公司已经开发出83%透射率的薄膜,在采用245W光源,测试可达到100 片晶圆/时吞吐量,ASML的目标是开发出透射率90%的透明薄膜,可承受300W的EUV光源,实现125片晶圆/时的吞吐量。ASML也正在努力保持机器内部比现在更清洁,这样客户可以随意使用没有薄膜的MASK。

  EUV光刻的关键技术包括EUV光源和高数值孔径(NA)镜头,前者关乎光刻机的吞吐量(Throughput),后者关乎光刻机的分辨率(Resolution)和套刻误差(Overlay)能力等。

  目前,全球EUV光刻机生产基本上由荷兰ASML公司所垄断,ASML光刻机的镜头由德国Carl Zeiss(卡尔·蔡司)公司提供,光源则来自美国Cymer公司。

  Cymer公司是世界领先的准分子激光源提供商,发明了如今半导体制造中最关键的光刻技术所需的深紫外(DUV)光源。产品主要特性是:带宽窄,运行速度高,可靠性强。Cymer光源在批量生产符合特定规格的的世上最先进的半导体芯片时起着决定性的作用。为了加快EUV的研发进度,ASML已于2012年10月收购了美国Cymer公司。

  在这之前的2007年,ASML还收购了总部位于美国加州Santa Clara的睿初(Brion)科技公司,该公司致力于计算光刻等方面的服务,用于检测光刻缺陷及提出相应修正解决方案,在同行业中处于领跑位置。

  每台EUV设备都基于大功率二氧化碳激光器和“触发”激光器,在EUV源腔内的锡液滴加工时,产生宽带发射光,此外还需要由钻石制成的专业光学元件。

  在EUV光刻技术中,采用反射镜而非透镜进行缩影。ASML采用的曲面反射镜来自德国卡尔·蔡司公司(Carl Zeiss AG)。

  ▲卡尔·蔡司股份公司(Carl Zeiss AG)LOGO

  蔡司是光学和光电行业国际领先的科技企业,研发并销售半导体制造设备、测量技术、显微镜、医疗技术、眼镜镜片、相机和摄影镜头、望远镜和天文馆技术。在半导体制造设备领域,卡尔蔡司在光刻领域提供了主流193纳米光刻光学系统和极紫外13.5纳米光学系统。

  ▲ASML公司EUV光刻机上采用的来自卡尔·蔡司股份公司(Carl Zeiss AG)的曲面反射镜

  极紫外EUV对于曲面反射镜的要求可以用地完美来形容,若镜面涂层中出现小缺陷会将光学图案扭曲并影响电路图案,最终会导致芯片性能缺陷。

  蔡司反射镜所能容忍的缺陷为皮米数量级(千分之一纳米),ASML的总裁Peter Wennink曾经接受采访表示,如果反射镜的面积有德国这么大,最高凸起不能超过一公分。

  高数值孔径(High-NA)光学系统方面,由于极紫外光会被所有材料(包括各种气体)吸收,因此极紫外光光刻必需在真空环境下,并且使用反射式透镜进行。

  目前,阿斯麦公司已开发出数值孔径为0.33的EUV光刻机镜头,阿斯麦正在为3nm及以下制程采开发更高数值孔径(NA)光学系统,公司与卡尔蔡司公司合作开发的数值孔径为0.5的光学系统,预计在2023-2024年后量产,该光学系统分辨率(Resolution)和生产时的套刻误差(Overlay)比现有系统高出70%,每小时可以处理 185 片晶圆。

  ASML最新的NXE:3400B EUV型光刻机,采用245W光源,在实验条件下,未使用掩膜保护膜(pellicle),已实现每小时曝光140片晶圆的吞吐量;该机型在用户端的测试。

  

中国的光刻机与刻蚀机达到世界先进水平,为什么有些人还说中国芯片业依旧前路艰辛?

  

中国的光刻机与刻蚀机达到世界先进水平,为什么有些人还说中国芯片业依旧前路艰辛?

  

中国的光刻机与刻蚀机达到世界先进水平,为什么有些人还说中国芯片业依旧前路艰辛?

  

中国的光刻机与刻蚀机达到世界先进水平,为什么有些人还说中国芯片业依旧前路艰辛?

来源:文章来源于网络,如有侵权请联系我们删除。本文由电机网编辑,欢迎分享本文,转载请保留出处和链接!